J'ai essayé de compiler le codeNe peut pas faire sens d'erreur dans le système Verilog
module counter(
input clk,
input upSignal,
input downSignal,
output [7:0] count
);
always_ff @(posedge clk) begin
if (upSignal)
count <= count + 1;
else if (downSignal)
count <= count - 1;
end
endmodule
mais je reçois l'erreur
Error (10170): Verilog HDL syntax error at counter.v(7) near text "@"; expecting ".", or "("
qu'est-ce que cela signifie?
Quel outil logiciel essayez-vous de compiler? Certains simulateurs par défaut à la syntaxe Verilog-2001 et doivent être dit d'attendre SystemVerilog ... – Marty
Altera Quartus II – segfault
Je ne suis pas familier avec ce logiciel. 'always_ff' est un mot-clé dans SystemVerilog, mais pas dans Verilog-2001. Vérifiez les documents du logiciel pour voir si vous devez activer le support de SystemVerilog. Si vous l'exécutez à partir de la ligne de commande, cela peut être quelque chose comme '-sv' ou similaire. – Marty