J'ai défini mon état comme suit:Etat std_logic
type state_type is (s0, s1, s2, s3);
signal state : state_type;
Maintenant, je voudrais utiliser ces informations d'état pour former un autre signal
signal data : std_logic_vector(3 downto 0);
signal data_plus_state : std_logic_vector(5 downto 0);
....
data_plus_state <= data & state;
Est-ce que quelqu'un sait comment je peux l'état de concert en un std_logic_vector pour que je puisse concaténer ces deux signaux?
Un grand merci, Rob
Appartient sur ChipHacker, mais aucune option fournie par SO. Mauvais SO! – leppie