2017-07-19 5 views
0

Pour ma mission, je dois prendre les résultats de mon Verilog simuation dans ModelSim dans les fichiers journaux. J'ai pris la capture d'écran de la fenêtre de forme d'onde. En dehors de cela, j'ai également pris l'impression de la fenêtre de transcription.résultats de simulation de Verilog dans ModelSim

Est-il possible de stocker la transcription dans le fichier journal?

S'il vous plaît expliquer les commandes pour stocker mes résultats de la simulation Verilog.

+0

Demandez-vous comment utiliser des tâches du système 'display' de $,' $ monitor', '$ fopen',' $ fdisplay', '$ fclose', etc. – Greg

+0

Oui quand j'utilise les tâches comme $ la sortie d'affichage est écrite dans la fenêtre de transcription. J'ai pris la capture d'écran de la fenêtre de transcription. En dehors de cela, quand je recherche sur internet, je vois des commandes comme -logfile | -l (facultatif) Génère un fichier journal de la compilation. -logfile - Enregistre les données de transcription à . Mais la commande ne fonctionne pas pour moi. J'ai créé un fichier et spécifié le chemin mais la sortie n'est pas écrite dessus. Veuillez expliquer les façons de consigner mes résultats de simulation –

Répondre

2

Un fichier .vcd est un fichier standard IEEE 1364-1995 qui contient toutes les informations de forme d'onde de simulation qui est utile pour le débogage simulation. Il contient tous les signaux dans la conception, de sorte que vous n'avez pas besoin de relancer une simulation si vous avez besoin d'ajouter un signal dans la fenêtre Waveform.

Pour créer un fichier .vcd:

1) Compiler et la conception de la charge avec succès dans la fenêtre de transcription

2) Spécifier le nom du fichier VCD

  • Syntaxe: fichier vcd .vcd

3) Activer VCD pour vider des signaux sous une instance souhaitée

  • Syntaxe: vcd add/*

Note: Cette commande ne vidage pas de signaux de cas d'enfants

    Enable VCD for encrypted instances will generate warnings 

4) Lancer la simulation générer la base de données de VCD

5) Quitter la simulation

  • Syntaxe: quit sim

Pour pouvoir afficher les signaux dans le fichier .vcd dans Modelsim fenêtre Waveform:

1) Convert VCD to WLF format in ModelSim 
  • Syntaxe: vcd2wlf

Note: si la conversion échoue, la plupart des temps, il est causé par un chemin d'instance inexistant. Assurez-vous que les chemins d'instance souhaités spécifiés à l'étape 3 sont corrects

2) Quitter la session ModelSim actuelle (nécessaire pour ModelSim pour générer un fichier VCD approprié)

3) session ModelSim et ouvrez le fichier WLF créé dans le étape 1

  • Menu Fichier -> Ouvrir -> fichier2.wlf

4) signaux de sélection pour le débogage dans la fenêtre d'objets et les ajouter à la fenêtre Waveform

de réponse est une copie de https://www.altera.com/support/support-resources/knowledge-base/solutions/rd07062010_692.html