2009-03-11 5 views
0

Après avoir débogué mon code, je suis arrivé au point où le compilateur l'accepte, mais il lance une exception de simulateur.Comment puis-je ajouter ces STD_LOGIC_VECTORs à mes devoirs?

Les principaux problèmes que j'ai eu sont d'initialiser les tableaux de temp et d'ajouter les vecteurs à la fin.

La méthode utilisée pour ajouter est celui que je trouve dans une référence puisque vous ne pouvez pas ajouter STD_LOGIC_VECTORs

Merci, Buzkie

library ieee; 
use ieee.std_logic_1164.all; 
use ieee.std_logic_arith.all; 
use ieee.numeric_std.all; 

entity signedmult is 
port (cand, lier: in std_logic_vector (4 downto 0); 
    pro: out std_logic_vector (9 downto 0)); 

end signedmult;  


architecture synth of signedmult is 
     --temp arrays 
     signal a,b,c,d,e: std_logic_vector(9 downto 0); 

begin 
process (a,b,c,d,e) 
     variable j:integer; 
begin 

for j in 0 to 9 loop 
a(j) <= '0'; 
b(j) <= '0'; 
c(j) <= '0'; 
d(j) <= '0'; 
e(j) <= '0'; 

end loop; 

end process; 

process (cand, lier,a,b,c,d,e)  
     variable i:integer;  
begin 
    for i in 0 to 4 loop 
    a(i) <= cand(0) and lier(i); 
    b(i+1) <= cand(1) and lier(i); 
     c(i+2) <= cand(2) and lier(i); 
     d(i+3) <= cand(3) and lier(i); 
     e(i+4) <= cand(4) and lier(i); 
    end loop; 

end process; 

    a(5) <= a(4); a(6) <= a(4); a(7) <= a(4); a(8) <= a(4); 

    b(6) <= b(5); b(7) <= b(5); b(8) <= b(5); 

    c(7) <= c(6); c(8) <= c(6); 

    d(8) <= d(7); 

    pro <= std_logic_vector(unsigned(a) + unsigned(b)); -- + c + d + e; 


end synth; 

Répondre

4

D'abord, vous devez supprimer std_logic_arith pour éviter les conflits avec numeric_std.

Une fois cela fait, je ne vois pas pourquoi votre addition ne devrait pas fonctionner.

Quelle erreur obtenez-vous lors de l'exécution?

En outre, vous conduisez vos signaux de plusieurs processus, probablement en a et b. Est-ce que c'est ce qui provoque l'erreur? Essayez de mettre toutes les affectations à vos tableaux temp en un seul processus.

+0

suppression std_logic_arith a résolu le problème – Buzkie

0

rapide, facile:

a(9 downto 0) <= (others=>'0'); 
b(9 downto 0) <= (others=>'0'); 
Questions connexes