2011-02-25 6 views
0

Je voudrais comprendre la compression CRC dans le champ d'en-tête de IEEE 802.11b PHY. J'ai lu dans la littérature, CRC-16 est utilisé et le compliment 1 du rappel du (e SIGNAL, SERVICE, et LONGUEUR par Générateur Polynomial)Calcul CRC-16 dans IEEE 802.11b

Mais je veux comprendre, donné un flux de bits, comment ce calcul est fait. J'essaye de comprendre, comment implémenter dans le matériel en utilisant des registres de décalage ou dans Matlab. Des suggestions ou des indications utiles dans ce sens seraient utiles.

Merci,

Kiran

Répondre

1

Xilinx a un app note et le code source pour la CRC dans la norme IEEE 802.3.

+0

Merci beaucoup .. J'ai réussi à mettre en œuvre dans simulink-. – Kiran

1

Voici un lien vers une article sur la génération CRC pour les langages de description matérielle (Verilog, VHDL)

+0

Merci beaucoup pour le partage, aurait sauvé quelques heures de me gratter la tête. J'ai implémenté le modèle dans Simulink dans Matlab :) – Kiran