2017-09-09 5 views
0

J'ai un registre avec 4 bits.Que signifie '1' dans verilog?

reg[3:0] a; 

Et je veux attribuer un seul bit comme à

a <= '1; 

Apparemment, ce n'est pas la même 1'b1 et 1. Je suis nouveau à verilog et pas sûr de sa syntaxe. Quelqu'un peut-il m'éclairer s'il vous plaît.

+1

Toute la syntaxe est expliquée dans la norme IEEE Std 1800-2012 – toolic

Répondre

2

Cela met tous les bits à 1, je crois.

+6

BTW, uniquement pour SystemVerilog, pas dans Verilog. ''1' signifie vraiment remplir le contexte de l'expression avec tout le monde. –