2017-03-29 4 views
-1

Vous voulez savoir quel code VHDL synthétisable doit être écrit dans Quartus II utilisation la bibliothèque. Notez que la bibliothèque a déjà été générée par l'assistant Megacore. Je suis un débutant complet pour les FPGA et VHDL donc, excuses si cela est évident.Ne pas comprendre comment utiliser la bibliothèque fft générée par la bibliothèque MegaCore Altera

+0

Vous devriez ajouter du code de ce que vous avez fait jusqu'à présent. – JHBonarius

+0

Nous avons une entrée à un ADC qui stocke des données dans des registres. Nous voulons effectuer une FFT dessus. Je ne pensais pas que cela aiderait. – thebionicandroid

+0

Ce n'est pas du code ... Quel VHDL avez-vous écrit sofar? – JHBonarius

Répondre

1

Démarrer avec un FFT IP est un véritable défi si vous avez peu ou pas d'expérience avec les FPGA de VHDL. Vous devriez commencer par une entité simple et une simulation. Utilisez un tutoriel en ligne.

MATLAB simulink et le codeur HDL peuvent vous aider à concevoir. Le convertisseur [Xilinx] HLS (synthèse de haut niveau) C/C++ vers HDL peut également vous faciliter la vie. Dans les deux cas, il y aura une courbe d'apprentissage. Comme pour le mégacore FFT Altera: vous devriez vraiment lire le official user guide. Cela indique que vous pouvez réellement avoir le générateur de base générer un testbench, qui vous montrera comment instancier le noyau.