Je souhaite écrire une propriété dans SVA pour vérifier formellement un comportement.Comment écrire une propriété dans les assertions System verilog?
Voici ce que je veux:
property prop1(sig1,sig2,sig3,sig4);
@(posedge clk)
$fell(sig1) ##[1:$] first_match($fell(sig2)) ##0 sig3 |-> sig4 == sig3;
endproperty
Comment puis-je réécrire la propriété ci-dessus afin qu'après SIG1 tombe, il reste LOW pendant restant cycles d'évaluation?
Note: Je ne veux pas mettre SIG1 comme ssi désactiver (de SIG1)
Merci à!
est-il "après SIG1 tombe, il reste LOW pendant restant cycles d'évaluation" partie du _precondition_ ou _condition_? En d'autres termes, voulez-vous vérifier que sig4 == sig3 _if_ sig1 reste bas OU voulez-vous _check_ que sig1 est resté bas ainsi que vérifier sig4 = sig3? –