2013-07-15 4 views
2

J'ai une bascule que je dois activer pour un seul cycle d'horloge. Quelle est la pratique standard pour le signal de validation à un cycle dans ce genre de situations?Flip-Flop avec validation avec un seul signal de validation de cycle

Merci à l'avance

+0

Hier, il y avait une bonne réponse avec le pseudo code d'Oli Charlesworth, mais cela manque aujourd'hui. A moins que le code ci-dessous ne soit la réponse à votre question, pourriez-vous préciser ce que vous entendez par "activer pour un seul cycle d'horloge". –

Répondre

0

La bascule qui est activée uniquement un seul cycle après la réinitialisation est indiqué ci-dessous; tous les signaux sont std_logic:

process (clk, rst) is 
begin 
    if rst = '1' then 
    fired <= '0'; 
    elsif rising_edge(clk) then 
    if fired = '0' then 
     q  <= d; 
     fired <= '1'; 
    end if; 
    end if; 
end process; 
+0

Merci Morten. J'ai aussi trouvé une autre solution. Nous pouvons définir un signal qui: S_fireed <= déclenché; if (s_fired = '0' et fired = '1') le q <= d juste pour un cycle d'horloge même si le signal reste allumé = '1' plus d'un cycle d'horloge. –

Questions connexes