2017-09-07 3 views
0

Disons que j'ai une variable de type time.Qu'est-ce que les macros `uvm_field_ * pour le type de données de temps

class my_class extends uvm_object; 
    time my_time; 
    int my_int; 
    `uvm_object_utils_begin(my_class) 
    `uvm_field_int(my_int, UVM_DEFAULT) 
    // ? for time 
    `uvm_object_utils_end 
endclass 

Comme nous le savons, nous pouvons utiliser 'uvm_field_int pour int type de données. Quelle est la macro appropriée pour le type de données time?

Répondre

1

Utilisez uvm_field_int car pour autant que SystemVerilog concerne, time est juste un alias pour longint

Mieux encore, ne pas utiliser de macros sur le terrain tout comme ils sont horribly inefficient.