-1
Je viens juste de commencer à travailler avec CRC32.So quand je voulais vérifier le code que j'ai écrit et je reçois xxxxxx que les output.I ne suis pas sûr si le code est bon siCRC32 code ne fonctionne pas
module last_time(input [127:0]finalinput,output [31:0]crcout1
,input clk);
wire [31:0]poly;
assign poly=32'h04c11db7;
reg [7:0]lsb;
reg [3:0]i;
reg [7:0]ans;
reg [31:0]nextcrc;
reg [31:0]newcrc;
reg [31:0]crcout;
reg [7:0] lut [255:0];
[email protected](posedge clk)
begin
crcout=32'hffffffff;
lsb=finalinput;
for(i=0;i<16;i=i+1)
begin
ans=(8'hff^(lsb));
newcrc = lut[ans];
$readmemh("table.txt",lut); // to fill lut
nextcrc=(newcrc)^(crcout>>8);
lsb=lsb>>8;
end
end
assign crcout1=nextcrc^32'hffffffff;
endmodule
s'il vous plaît, les espaces sont libres, utilisez-les dans l'exemple. – Serge
D'accord, merci de me le faire savoir. – Ashley
Pourquoi échantillonnez-vous 'lut' avant de lui attribuer une valeur? Pourquoi le chargez-vous 16 fois? – Greg